Welcome![Sign In][Sign Up]
Location:
Search - rgb vhdl

Search list

[VHDL-FPGA-VerilogYcrcb2rgb

Description: VHDL代码,在fpga上实现图像数据从ycbcr到rgb的转换-VHDL code in fpga on the image data from the ycbcr to rgb conversion
Platform: | Size: 41984 | Author: jihuijie | Hits:

[Special Effectsrgb_to_yuv

Description: 运用VHDL代码写好的RGB到YUV的颜色空间变换,整个代码已经ALTERA CYCLONE2系列FPGA上验证通过了.能正常工作.-VHDL code written to use the RGB to YUV color space conversion, the entire code ALTERA CYCLONE2 series FPGA has been tested passed. Able to work properly.
Platform: | Size: 2048 | Author: lioushifeng | Hits:

[VHDL-FPGA-Verilogcolor_converter.tar

Description: 此代码实现不同图像颜色制式之间的相互转换,如XYZ<->RGB, 不同标准的RGB<->RGB 以及RGB<->YCbCr之间的转换,包内含有matlab仿真代码m文件、VHDL代码.v文件以及modelsim仿真的testbench文件,相信对大家有一定的帮助-This code different image color conversion between formats, such as XYZ <-> RGB, different standards of RGB <-> RGB and RGB <-> YCbCr conversion between packet contains code m file matlab simulation, VHDL code . v documents and ModelSim Simulation Testbench documentation, I believe everyone will certainly help
Platform: | Size: 339968 | Author: 王弋妹 | Hits:

[Special EffectsHDL

Description: RGB和YCbCr相互转换的Verelog程序-RGB and YCbCr mutual conversion process Verelog
Platform: | Size: 4096 | Author: 罗林波 | Hits:

[Special Effectsdjpeg

Description: 实现jpeg图像解码功能。 代码设计思路:1, Reconstruct the Huffman/RLE stream to a sequence 2, Arrange the sequence to a matrix using the zigzag scanning backwards 3, Multiply the matrix by quantization table 􀂄 4, Perform inverse DCT 5, Shift the values by +128 6, Transform back to RGB color space -Realize jpeg image decoding capabilities. Code design: 1, Reconstruct the Huffman/RLE stream to a sequence2, Arrange the sequence to a matrix using the zigzag scanning backwards3, Multiply the matrix by quantization table
Platform: | Size: 186368 | Author: 颜新卉 | Hits:

[Graph programrgb2ycrcb

Description: 真正可用的RGB转YCbCr的verilog程序,输出格式为标准BT.656格式,经本人亲自编写并验证,可在硬件上正常工作。-Truly available to the Verilog RGB to YCbCr procedures BT.656 output format as the standard format, as I personally prepared and verified in the hardware work correctly.
Platform: | Size: 2048 | Author: cloud | Hits:

[Special EffectsFPGA_YUV2RGB

Description: 自己用AHDL写的关于YUV信号转RGB信号的视频处理,硬件环境可能不太一样,可以做为参考,是在EP1C6Q240I7上运行的-AHDL write their own use on the YUV signal transduction RGB video signal processing, hardware environment may not be the same, can be used as reference, is running on EP1C6Q240I7
Platform: | Size: 15360 | Author: yhb | Hits:

[VHDL-FPGA-Verilogrgb2ycrcb

Description: RGB转为YCBCR格式的verilog源代码,对熟悉verilog编程有帮助-RGB to YCbCr format Verilog source code, to people familiar with Verilog programming help
Platform: | Size: 17408 | Author: dongming | Hits:

[VHDL-FPGA-Verilogyuv_rgb

Description: 完成ITUR656标准的视频流数据向RGB格式的转换。-Complete video streaming ITUR656 standard data format to RGB conversion. Test module
Platform: | Size: 2048 | Author: 黄涛 | Hits:

[VHDL-FPGA-Verilogxapp930

Description: RGB to Y CB CR conversion source code in VHDL
Platform: | Size: 46080 | Author: niki | Hits:

[Special EffectsRGB2YUV

Description: RGB -> YUV转换verilog代码-RGB-> YUV verilog
Platform: | Size: 12288 | Author: 猫贼 | Hits:

[VHDL-FPGA-Verilogycrcb2rgb

Description: THE SOURCE REALIZE THE TRANSFORMATION FROM YUV TO RGB
Platform: | Size: 4096 | Author: qiushui | Hits:

[EditorCSC_XAPP931_pdf

Description: This document is Xilinx`s Color Space Conversion Applcation Note. This CSC is a RGB to YCrCb Conversion.
Platform: | Size: 271360 | Author: | Hits:

[VHDL-FPGA-VerilogYCbCr_RGB_10bit

Description: YCbCr 转 RGB模块,以应用于项目中。 该模块可将10bitYCbCr分量视频转换为12bitRGB视频,需消耗乘法器。-YCbCr turn RGB module, to apply to the project. The module can be 10bitYCbCr component video converted to 12bitRGB video, need to consume multiplier.
Platform: | Size: 1024 | Author: 张曦 | Hits:

[VHDL-FPGA-VerilogRAW2RGB.v

Description: RGB-raw2RGB converting data from Cmos camera to FPGA
Platform: | Size: 2048 | Author: imag3ne | Hits:

[VHDL-FPGA-VerilogYCbCr2RGB

Description: 将图像数据Ycbcr格式转换为rgb格式,方便显示器显示。-Converting the image data Ycbcr format to RGB format , facilitating the monitor display.
Platform: | Size: 1024 | Author: 秦立红 | Hits:

[VHDL-FPGA-VerilogRGB_TO_YUV

Description: converter rgb to yuv
Platform: | Size: 1024 | Author: cyberia | Hits:

[VHDL-FPGA-VerilogYUV2RGB

Description: 该代码可将YUV图像数据转换为VGA显示器能显示的RGB数据,R,G,B的位宽均为4,转换速度快。-The code can be converted to a YUV image data of RGB VGA monitor can display the data, R, G, B of the bit width of 4, the conversion speed.
Platform: | Size: 1024 | Author: 陈雅 | Hits:

[VHDL-FPGA-VerilogRGB-YUV

Description: VHDL xilinx RGB2YUV for LEARN
Platform: | Size: 44032 | Author: wei | Hits:

[VHDL-FPGA-VerilogRGB

Description: 用VHDL语言实现将Bayer格式图片转为RGB格式图片-The function of the program is to transfer the Bayer picture into RGB picture
Platform: | Size: 1211392 | Author: Mr liu | Hits:
« 12 3 »

CodeBus www.codebus.net